`

状态机

    博客分类:
  • J2EE
 
阅读更多
public class Person {
    public static final int WORK = 0;
    public static final int PLAY = 1;
    public static final int SLEEP = 2;
    public static final int FUN = 3;

    public int state;

    public void WorkToPlay() {
        state = 1;
        System.out.println("work to play");
    }

    public void PlayToSleep() {
        state = 2;
        System.out.println("play to sleep");
    }

    public void SleepToFun() {
        state = 3;
        System.out.println("sleep to fun");
    }

    public void FunToWork() {
        state = 0;
        System.out.println("fun to work");
    }

    public void setState(int end) {
        if (end > FUN || end < WORK) {
            System.out.println("end is wrong state");
            return;
        }
        if (end - 1 > state) {
            setState(end - 1);
        } else if (end - 1 == state || state == end + 3) {
            // end在递归中不断减小 但在这里就不会继续递归减小了 因为这里什么也没做 所以下面的else if没机会执行
            // 除非是初始判断
        } else if (end == state) {
            System.out.println("do nothing");
            return;
        } else if (end < state) {
            // System.out.println("<");
            setState(end - 1 >= 0 ? end - 1 : end + 3);
        }
        // if (state == end - 1 || state==end+3) {
        System.out.println(state + " to " + end);
        switch (state) {
        case WORK:
            WorkToPlay();
            break;
        case PLAY:
            PlayToSleep();
            break;
        case SLEEP:
            SleepToFun();
            break;
        case FUN:
            FunToWork();
            break;
        default:
            break;
        }
        // }
    }

    public static void main(String[] args) {
        Person a = new Person();
        a.state = 2;
        a.setState(1);
        System.out.println("---");
        a.setState(0);
        System.out.println("---");
        a.setState(3);
    }
}

 

分享到:
评论

相关推荐

    单片机裸奔之状态机浅谈

    说到单片机编程,不得不说到状态机,状态机做为软件编程的主要架构已经在各种语言中应用,当然包括C语言,在一个思路清晰而且高效的程序中,必然有状态机的身影浮现。灵活的应用状态机不仅是程序更高效,而且可读性...

    verilog有限状态机实验报告(附源代码).pdf

    verilog有限状态机实验报告(附源代码).pdfverilog有限状态机实验报告(附源代码).pdfverilog有限状态机实验报告(附源代码).pdfverilog有限状态机实验报告(附源代码).pdfverilog有限状态机实验报告(附源代码)....

    SMC - 状态机代码生成工具 - Java工程示例

    1、资源内容:Java工程示例的SMC - 状态机的基本格式说明及使用示例; 2、应用场景:SMC可以通过一个配置文件,生成有限状态机所需的所有状态类以及状态机类,同时还包括了所有的状态间的转换逻辑。 3、参考链接:...

    收集的状态机资料

    同步状态机的原理、结构和设计.ppt 实验三:状态机编程 (1).pdf 实验三:状态机编程.pdf 操作系统课程设计报告-基于时间片的轮转调度算法.doc 时间片轮转法进行CPU调度.doc 时间片轮转法进行CPU调度算法实验....

    VHDL——有限状态机

    有限状态机有限状态机有限状态机有限状态机有限状态机有限状态机

    Labview 状态机 程序框架设计

    在LabVIEW中进行程序框架设计,似乎只见到过一种框架,那就是状态机,(并行的算不算?)还有“主/从设计模式”、“生产/消费模式”之类的,但好像也是建立在状态机的基础上的。如果真是这样的话,状态机就成了唯一...

    基于C语言实现的嵌入式电机状态机(含源码)

    前言 背景 内外事件 事件数据 状态转变 状态机模块 电机实例 外部事件 州数 状态函数 状态图 状态机对象 过渡图 新的状态机步骤 状态引擎 生成事件 不使用堆 离心机测试实例 多线程安全

    StateMachineCompiler for C 根据状态表生成【层次状态机】【C代码】

    Python实现,根据状态表生成C代码的【层次状态机】,亦可退化成【平面状态机】。使用C模拟C++的一些特性。 2009.12.3: 里面有readme,在研究之前先读一下。 对于号称“专业研究。。。”的fazai001(无激发)同学,...

    fpga 状态机 写法

    现在大家比较统一的观点是,状态机的写法应该是用三段式写法,即第一部分说明初始状态,current_state,第二部分是状态机的状态转化的描述,第三部分是每一步状态的组合逻辑的描述。这样写调理更加清晰,也更加利于...

    通用有限状态机(FSM: Finite-state machine)自动代码生成器

    通用有限状态机(FSM: Finite-state machine)自动代码生成器. 可以根据配置文件,自动生成状态机代码(C++)。配置文件中只需要定义状态,跃迁条件。然后完善每个状态的动作即可。省去开发过程中手写状态机的麻烦。...

    fsm_keil_version3_keil有限状态机_fsm打开_8051实现状态机_

    在8051单片机内实现列表型的状态机。如果你需要更多的状态转移,你只需要将任务函数加入到列表里面即可。里面还附带了电路图

    二段式状态机

    二段式状态机,状态转换,还是有毛刺的。

    qt并发状态机应用实例

    qt中,关于并发状态机,满足两个子状态都结束才能向父状态的下一个状态切换的实例,本人亲测有效。 在用qt状态机的时候,往往会遇到一个问题,就是在实际任务执行中,我们不希望两个并行的任务,其中一个结束,就...

    有限状态机VHDL模板

    有限状态机VHDL模板 FPGA开发实用模板

    状态机的使用

    状态机是计算机网络通信的重要内容,想要对tcp-ip协议栈加深了解的朋友尤其需要重点掌握,状态机的使用,统计字符串中单词的个数

    单片机多按键状态机的实现

    通过按键状态机方式实现多个按键扫描,具有短按,长按,释放检测功能

    嵌入式STM32按键状态机-单击双击长按操作

    本程序使用定时器,运用状态机的思想,实现了单按键的单击长按操作。 代码简洁规范,可读性强,移植性强。 实验器材: 自制开发板,STM32F03C8T6平台 实验目的: 学习定时器中断、按键使用。实现单击双击长按操作 ...

    C语言嵌入式状态机架构(含C源码)

    状态机简介 函数指针实现FSM 代码实现步骤 附代码 测试程序 总结

    有限状态机(FSM)的设计与实现

    有限状态机(FSM)是表示有限个状态及在这些状态之间的转移和动作等行为的数学模型,在计算机领域有着广泛的应用。通常FSM包含几个要素:状态的管理、状态的监控、状态的触发、状态触发后引发的动作。本文主要阐述...

    如何在verdi中直接查看状态机的状态名

    如何在verdi中直接查看状态机的状态名 同样适用于debussy

Global site tag (gtag.js) - Google Analytics